• Login
    Search 
    •   Home
    • UA Graduate and Undergraduate Research
    • UA Theses and Dissertations
    • Search
    •   Home
    • UA Graduate and Undergraduate Research
    • UA Theses and Dissertations
    • Search
    JavaScript is disabled for your browser. Some features of this site may not work without it.

    Browse

    All of UA Campus RepositoryCommunitiesTitleAuthorsIssue DateSubmit DateSubjectsPublisherJournalThis CommunityTitleAuthorsIssue DateSubmit DateSubjectsPublisherJournal

    My Account

    LoginRegister

    Filter by Category

    DisciplineGraduate College (10)Materials Science & Engineering (8)Chemical Engineering (2)Authors
    Muralidharan, Krishna (10)
    Raghavan, Srini (10)
    Corral, Erica L. (2)Keswani, Manish (2)Lucas, Pierre (2)Beck, Warren J. (1)Blowers, Paul (1)Brown, Ian (1)Deymier, Pierre (1)Gai, Fangyuan (1)View MoreTypesElectronic Dissertation (10)
    text (10)

    About

    AboutUA Faculty PublicationsUA DissertationsUA Master's ThesesUA Honors ThesesUA PressUA YearbooksUA Catalogs

    Statistics

    Display statistics
     

    Search

    Show Advanced FiltersHide Advanced Filters

    Filters

    Now showing items 1-10 of 10

    • List view
    • Grid view
    • Sort Options:
    • Relevance
    • Title Asc
    • Title Desc
    • Issue Date Asc
    • Issue Date Desc
    • Results Per Page:
    • 5
    • 10
    • 20
    • 40
    • 60
    • 80
    • 100

    • 10CSV
    • 10RefMan
    • 10EndNote
    • 10BibTex
    • Selective Export
    • Select All
    • Help
    Thumbnail

    USE OF DILUTE HYDROFLUORIC ACID AND DEEP EUTECTIC SOLVENT SYSTEMS FOR BACK END OF LINE CLEANING IN INTEGRATED CIRCUIT FABRICATION

    Padmanabhan Ramalekshmi Thanu, Dinesh (The University of Arizona., 2011)
    Fabrication of current generation integrated circuits involves the creation of multilevel copper/low-k dielectric structures during the back end of line processing. This is done by plasma etching of low-k dielectric layers to form vias and trenches, and this process typically leaves behind polymer-like post etch residues (PER) containing copper oxides, copper fluorides and fluoro carbons, on underlying copper and sidewalls of low-k dielectrics. Effective removal of PER is crucial for achieving good adhesion and low contact resistance in the interconnect structure, and this is accomplished using wet cleaning and rinsing steps. Currently, the removal of PER is carried out using semi-aqueous fluoride based formulations. To reduce the environmental burden and meet the semiconductor industry's environmental health and safety requirements, there is a desire to completely eliminate solvents in the cleaning formulations and explore the use of organic solvent-free formulations.The main objective of this work is to investigate the selective removal of PER over copper and low-k (Coral and Black Diamond®) dielectrics using all-aqueous dilute HF (DHF) solutions and choline chloride (CC) - urea (U) based deep eutectic solvent (DES) system. Initial investigations were performed on plasma oxidized copper films. Copper oxide and copper fluoride based PER films representative of etch products were prepared by ashing g-line and deep UV photoresist films coated on copper in CF4/O2 plasma. PER removal process was characterized using scanning electron microscopy and X-ray photoelectron spectroscopy and verified using electrochemical impedance spectroscopy measurements.A PER removal rate of ~60 Å/min was obtained using a 0.2 vol% HF (pH 2.8). Deaeration of DHF solutions improved the selectivity of PER over Cu mainly due to reduced Cu removal rate. A PER/Cu selectivity of ~20:1 was observed in a 0.05 vol% deaerated HF (pH 3). DES systems containing 2:1 U/CC removed PER at a rate of ~10 and ~20 Å/min at 40 and 70oC respectively. A mixture of 10-90 vol% de-ionized water (W) with 2:1 U/CC in the temperature range of 20 to 40oC also effectively removed PER. Importantly, etch rate of copper and low-k dielectric in DES formulations were lower than that in conventional DHF cleaning solutions.
    Thumbnail

    Processing and Microstructural Characterization of Ultra-High Temperature Ceramics

    Gai, Fangyuan (The University of Arizona., 2017)
    Spark plasma sintering (SPS), also known as direct current sintering (DCS) is an advanced sintering technique that and uses a continuous pulsed direct current to rapidly process materials through Joule heating and offers significant advantages and versatility over conventional sintering methods. The technique features in energy saving owing to high heating rates and is very suitable for consolidation as well as diffusion bonding of electrical conductive advanced ceramic materials such as ultra high temperature ceramics (UHTCs). However, cooling rate in SPS also plays an important role as it directly influences the generation of residual stress especially for specimens consist of dissimilar phases such as composites and laminates primarily due to CTE mismatch. Therefore, in order to produce high quality materials, a zirconium diboride with addition of silicon carbide (ZrB2-SiC) ultra high temperature ceramic composite is selected to investigate the effect of cooling rate in SPS on microstructure and mechanical properties. After being densified at the target temperature, ZrB2-25vol%SiC specimens are cooled from 1800°C using controlled cooling rates of 10 °C/minute to ~225.5 °C/minute (free cooling). A time dependent finite element analysis (FEA) model is used to simulate the temperature gradients across the specimens at dwell times and during the cooling processes. The residual stress within the specimens are experimentally verified using X-ray diffraction (XRD) and Raman spectrometry, and found maximum residual stress within the specimen cooled at 225.5 °C/minute. Peak Hardness and moderate elastic modulus is found for specimen sintered at 1800 °C and cooled at 100 °C/minute, which make this temperature and cooling rate appropriate conditions for future fabrication of UHTCs with similar thermal and electrical properties. These materials are of great interest for their excellent high-temperature capabilities, wear and corrosion resistance, and are regarded as material candidates for engineering applications in extreme environments. Therefore, development of an effective joining technique is important since near-net shape fabrication is challenging, and joints formed by brazing or conventional solid-state diffusion bonding limit the mechanical strength and high temperature applications of the base materials. Using SPS we have rapidly and successfully joined ZrB2 to hafnium diboride (HfB2) at 1750 and 1800 °C within a minute through electric current assisted solid-state diffusion bonding. The electric current enables localized Joule heating as well as plastic deformation of the mating surface asperities, and enhances the elemental interdiffusion process at the HfB2/ZrB2 interfaces owing to electromigration, which leads to the formation of ZrxHf1-xB2 solid solution. A series of characterization and analytical techniques including scanning electron microscopy (SEM), wavelength dispersive spectroscopy (WDS), electron backscatter diffraction (EBSD), and scanning transmission electron microscopy (S/TEM) are employed to study the microstructure and chemical composition at of the HfB2/ZrB2 interfaces. Apart from enhanced diffusion as a result of electromigration, the applied electric current can also be use to promote plastic deformation in ZrB2, which does not go through gross plastic deformation due to its extremely high melting point and brittle nature even when elevated temperature and pressure are applied. Through “electroplastic effect” (an effect based on electromigration) the mobility and multiplication of the existing dislocations in ZrB2 is enhanced, and a “metal-like” primary recrystallization phenomenon in the ZrB2 is observed meaning the material has experienced a sufficient amount of plastic deformation and reached the critical dislocation density and configuration for nucleation of “strain-free” grains. The average grain size of the recrystallized grain is only ½ of its original value. These findings suggest great potentials in microstructural tailoring and grain refinement of conductive advanced ceramics using SPS, and provide promising ideas for future fabrications and applications.
    Thumbnail

    Electrochemical Probing of Causes for Variation in Lifetime of Iridium-Tantalum Oxide Electrode Used in Copper Electrowinning

    Ma, Dongni (The University of Arizona., 2017)
    In hydrometallurgical copper production plants, titanium-based electrodes coated with a conductive layer of IrO2-Ta2O5 are widely used as anodes in acidic copper electrowinning baths because of their long service life and low overpotential for oxygen evolution. The presence of trace amounts of ions such as fluoride, aluminum, and iron in sulfate-based electrowinning baths is believed to affect the stability of IrO2-Ta2O5 coated anodes. Hence, in this study, the effect of fluoride and metallic cations on the lifetime of IrO2-Ta2O5 coated Ti electrodes in sulfuric acid solutions has been investigated, and a degradation mechanism for IrO2-Ta2O5 coatings in the presence of fluoride has been proposed. Typical lifetime of the conductive ceramic coated anodes is 1 to 2 years. In order to predict electrode performance over this long period, an accelerated laboratory test that can be carried out in a few weeks is often used. This test, known as accelerated lifetime test (ALT), is conducted by electrically stressing the anodes at a current density that is much higher than the current density used for electrowinning while monitoring the change in the cell potential. The time required for the cell voltage to increase by 5 V is taken as the accelerated lifetime of the oxide electrode. In this research, titanium mesh samples coated with mixed iridium oxide-tantalum oxide layers were tested as anodes in 2 M sulfuric solution a constant current density of 0.54 A/cm2. A two-electrode cell with a bare titanium mesh serving as the cathode was used for experiments. In addition to ALTs, anodic polarization measurements were also carried out to study the changes in overpotential for oxygen evolution on electrodes before and after ALTs. Additionally, morphology and chemical composition analyses were performed on electrodes before and after ALTs using various techniques such as scanning electron microscopy (SEM) analysis, energy-dispersive X-ray spectroscopy (EDS), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). Chemical species that are likely to be present in the electrowinning bath were predicted using the computer software STABCAL and presented in distribution-pH and Pourbaix diagrams. The results of multiple ALTs in the absence and presence of various levels of fluoride indicate that the anode lifetime was greatly reduced by the presence of fluoride in sulfuric acid solutions. The greater the amount of fluoride added, the shorter the anode lifetime. Additionally, both in the absence and presence of fluoride, the molar ratio of IrO2 to Ta2O5 in the coating did not change during dissolution. In studying strategies to prolong the lifetime of the electrode in a fluoride-containing solution, a method of complexing fluoride ions using metallic cations such as Al3+ and Fe3+ was developed and demonstrated. The anode lifetime was successfully prolonged from 200 to over 500 hours with the addition of aluminum ions to a fluoride-containing solution. Compared with ferric ions, aluminum ions are more efficient in complexing with fluoride to extend the lifetime of electrodes.
    Thumbnail

    Use of Formulations Based On Choline Chloride-Malonic Acid Deep Eutectic Solvent for Back End of Line Cleaning in Integrated Circuit Fabrication

    Taubert, Jenny (The University of Arizona., 2013)
    Interconnection layers fabricated during back end of line processing in semiconductor manufacturing involve dry etching of a low-k material and deposition of copper and metal barriers to create copper/dielectric stacks. After plasma etching steps used to form the trenches and vias in the dielectric, post etch residues (PER) that consist of organic polymer, metal oxides and fluorides, form on top of copper and low-k dielectric sidewalls. Currently, most semiconductor companies use semi aqueous fluoride (SAF) based formulations containing organic solvent(s) for PER removal. Unfortunately, these formulations adversely impact the environmental health and safety (EHS) requirements of the semiconductor industry. Environmentally friendly "green" formulations, free of organic solvents, are preferred as alternatives to remove PER. In this work, a novel low temperature molten salt system, referred as deep eutectic solvent (DES) has been explored as a back end of line cleaning (BEOL) formulation. Specifically, the DES system comprised of two benign chemicals, malonic acid (MA) and choline chloride (CC), is a liquid at room temperature. In certain cases, the formulation was modified by the addition of glacial acetic acid (HAc). Using these formulations, selective removal of three types of PER generated by timed CF₄/O₂ etching of DUV PR films on Cu was achieved. Type I PER was mostly organic in character (fluorocarbon polymer type) and had a measured thickness of 160 nm. Type II PER was much thinner (25 nm) and consisted of a mixture of organic and inorganic compounds (copper fluorides). Further etching generated 17 nm thick Type III PER composed of copper fluorides and oxides. Experiments were also conducted on patterned structures. Cleaning was performed by immersing samples in a temperature controlled (30 or 40° C) double jacketed vessel for a time between 1 and 5 minutes. Effectiveness of cleaning was characterized using SEM, XPS and single frequency impedance measurements. Type II and III residues, which contained copper compounds were removed in CC/MA DES within five minutes through dissolution and subsequent complexation of copper by malonic acid. Removal of Type I PER required the addition of glacial acetic acid to the DES formulation. Single frequency impedance measurement appears to be a good in situ method to follow the removal of the residues. High water solubility of the components of the system in conjunction with their environmental friendly nature, make the DES an attractive alternative to SAF.
    Thumbnail

    Use of a Quartz Crystal Microbalance with Dissipation Monitoring to Study Adsorption Phenomena Relevant to Semiconductor Wet Processing

    Wu, Bing (The University of Arizona., 2017)
    In silicon processing, contamination control is very important in each of the processing steps to ensure device reliability and enhance yield. There are many different types of contamination that are introduced at different processing steps from different sources. Industrial practice regarding contamination control, while effective, is not guided by a fundamental understanding of the systems involved. The objective of this work is to utilize the capability of a quartz crystal microbalance with dissipation monitoring (QCM-D) to investigate the fundamentals of contaminant adsorption and desorption, taking sulfate ion and benzotriazole (BTA) as examples, where the sulfate is a type of ionic contaminant and BTA is an organic contaminant. The study of sulfate adsorption onto silicon dioxide films was investigated in the context of front-end-of-line (FEOL) wet cleaning, specifically organic removal with a sulfuric acid/peroxide mixture (SPM). During SPM cleaning, high concentrations of sulfuric acid are used, and residual sulfate on the surface is generally removed by deionized (DI) water rinsing. The amount of sulfate adsorbed from potassium and sodium sulfate solutions was studied with QCM-D. The adsorption of sulfate was shown to result in the formation of multilayers with co-adsorption of cations. This adsorbed sulfate multilayered film was shown to be viscoelastic. The amount adsorbed increases linearly with sulfate concentration in the solution. The effect of temperature was studied, and sulfate uptake decreased with temperature, indicating sulfate adsorption is an exothermic reaction with a heat of adsorption in the range of −15 ~ −11 kJ/mole. The desorption kinetics of sulfate during DI water rinsing was also investigated, and desorption rate constants were calculated to be 0.04 s−1 and 0.12 s−1, respectively, at two different DI water flow rates of 0.2 mL/min and 1.0 mL/min. The effect of temperature on sulfate desorption was shown to be minimal in the range of 22 to 50 °C. The study of BTA adsorption onto copper surfaces was investigated in the context of back-end-of-line (BEOL) wet processing, especially barrier chemical mechanical planarization (CMP) and post-CMP cleaning. BTA inhibits copper corrosion during barrier CMP by adsorbing onto copper surfaces to form a complex with copper. BTA adsorption from alkaline solutions was studied with QCM-D. Two types of oxides, Cu2O and CuO, were investigated. It was determined that BTA adsorption was highly dependent on the oxidation state of copper. BTA adsorbed much more onto the Cu2O surface than onto the CuO surface, indicating Cu(I)-BTA complex formation is the dominating passivation factor for copper. For both type of oxides, Cu2O and CuO, the adsorbed BTA layers were shown to be rigid and compact. The adsorption of BTA onto Cu2O in the presence of hydrogen peroxide was also measured. BTA complexing with Cu(I) dominated over the oxidation of Cu(I). BTA removal was studied by rinsing with tetramethylammonium hydroxide (TMAH) and acetohydroxamic acid (AHA), and the QCM-D results indicate that BTA removal by pH 10 TMAH was not complete, whereas 1 ppm AHA solution was able to completely remove BTA in ~10 min.
    Thumbnail

    Oxidation Behavior of Carbon and Ultra-High Temperature Ceramics

    Miller-Oana, Melia (The University of Arizona., 2016)
    Hypersonic vehicles require material systems that can withstand the extreme environment they experience during flight. Carbon-based materials and ultra-high temperature ceramics are candidates for materials systems that will protect hypersonic vehicles. In order to study the material response, an oxyacetylene torch facility and thermal gravimetric analysis are used to investigate the gas-solid interactions under conditions that simulate aspects of flight. The oxyacetylene torch facility is characterized as a function of position from the tip for heat flux and oxygen content. By understanding the local heat flux and oxygen conditions, experiments are designed so that graphite ablation rates can be measured as a function of heat flux and partial pressure of oxygen. Further investigation shows that composition of the material influences the temperature response where ultra-high temperature ceramics exhibit the lowest surface temperatures. Using thermal gravimetric analysis, the isothermal oxidation behavior of ultra-high temperature ceramics from 1000-1600°C is investigated using a Dynamic Non- Equilibrium method in order to understand the reaction kinetics of ZrB₂-SiC where parabolic rate constants are determined. Isothermal oxidation behavior is compared to non-isothermal mass gain and oxide scale formation where specimens oxidized isothermally gain 3 times more mass and have oxide scales 4 times as thick. Finally, the effect of SiC content in ZrB₂ on temperature during oxyacetylene torch testing is determined. Increasing the amount of SiC results in lower front face temperatures because more heat is absorbed due to the endothermic reactions of evaporation of SiO₂.
    Thumbnail

    Oxidative Removal of Implanted Photoresists and Barrier Metals in Semiconductor Processing

    Govindarajan, Rajkumar (The University of Arizona., 2012)
    Chemical systems containing oxidants are widely used at various stages in semiconductor processing, particularly for wet cleaning and polishing applications. This dissertation presents a series of studies related to oxidative removal of materials in the Front-End-Of-Line (FEOL) and Chemical Mechanical Planarization (CMP) processes during IC fabrication. In the first part of this study, stripping of photoresists exposed to high dose of ions (1E16 As/cm²) was investigated in activated hydrogen peroxide systems. Stripping of photoresists (PR) exposed to high dose (>1E15/cm²) ion beams is one of the most challenging steps in FEOL processing. This is due to unreactive crust layer that forms on the resist surface during ion implantation. The use of hydrogen peroxide systems activated by metal ion or UV light, for disrupting crust formed on deep UV resist to enable complete removal of crust as well as underlying photoresist was investigated. A systematic evaluation of variables such as hydrogen peroxide and metal ion concentration, UV intensity, temperature and time was conducted and an optimal formulation capable of attacking the crust was developed. A two step process involving pretreatment with activated hydrogen peroxide solution, followed by treatment with sulfuric acid-hydrogen peroxide mixture (SPM) was developed for complete removal of crusted resist films. In the second part of this study, electrochemically enhanced abrasive removal of Ta/TaN films was investigated in solutions containing 2,5 dihydroxy benzene sulfonic acid (DBSA) and potassium iodate (KIO₃). This method known as Electrically-assisted Chemical Mechanical Planarization (ECMP) is generating a lot of interest in IC manufacturing. Ta/TaN films were abraded at low pressures (<0.5 psi) on a polyurethane pad under galvanostatic conditions. The effect of variables including pH, KIO3 concentration, and current density has been explored. In the optimized formulation, tantalum and tantalum nitride removal rates of ~170 A⁰/min and ~200 A⁰/min, respectively have been obtained at a current density of 1 mA/cm². The use of benzotriazole as a copper inhibitor was required to obtain Ta to Cu selectivity of 0.8:1. Additionally, the nature of the oxide film formed on tantalum during the electrochemical abrasion process was characterized.
    Thumbnail

    Electrocoagulation Driven Fabrication of Metal-Ion-Containing Graphene Oxide Films

    Weisbart, Clovis (The University of Arizona., 2018)
    The development of simple, solution-based techniques for the formation of graphene oxide (GO) films is of great interest to the materials community due to the potential application of these films in diverse areas such as filtration membranes and anticorrosion coatings. Further, the reduction of graphene oxide (GO) has been a reliable route to restore electrical conductivity and to obtain chemically modified graphene platelets in large scale and low cost for electronic and energy storage technologies. The stability of GO films in aqueous systems (e.g. for coatings or membrane applications) is often driven by the presence of multivalent, cationic metal contaminants that serve as strong cross-linkers between GO platelets. However, the incorporation of the metal ions into GO suspensions used for film formation is often uncontrolled. In contrast, this work demonstrates the rapid formation of GO films containing metal ions that are introduced using an easily implemented, electrochemical approach that enables the metal ion content and resulting film properties to be tailored. Specifically, the method is based on the electrocoagulation of GO particles onto a Cu substrate/electrode. In this process, the Cu ions used to cross-link and form the GO film are electrochemically evolved from the Cu electrode itself. Tuning of EC-driven GO film deposition was explored using a number of approaches, including size tuning of GO particles in suspension via chemical coagulation prior to deposition and the control of applied voltage, deposition time and suspension concentration. Moreover, the frequency dependence of AC-applied voltage on the resulting film evolution and resulting microstructure was also examined. An electrochemical reduction of the resulting GO films was subsequently used to produce reduced graphene oxide. Cyclic voltammetry was successful in identifying the primary reduction potentials for both the Cu2+ and GO present in the film offering a means to selectively reduce these individual constituents. With this information, a constant potential technique was applied to produce reduced graphene oxide films exhibiting greater conductivities than those typically observed in thermally or chemically reduced graphene oxide films (for example: up to 36% increase compared to hydrazine reduction and up to 200% increase compared to thermal exfoliation). These results offer new avenues for employing GO and reduced GO in a wide variety of technology, energy, and membrane applications.
    Thumbnail

    Surface Engineering and Synthesis of Graphene and Fullerene Based Nanostructures

    Gnanaprakasa, Tony Jefferson (The University of Arizona., 2016)
    Graphene is a two-dimensional carbon structure that exhibits remarkable structure-property relations. Consequently, there has been immense effort undertaken towards developing methods for graphene synthesis. Chemical vapor deposition (CVD) and chemical exfoliation from colloidal suspensions are two common methods used for obtaining graphene films. However, the underlying experimental conditions have to be carefully optimized in order to obtain graphene films of controllable thickness and morphology. In this context, a significant part of this dissertation was devoted towards developing and improving current CVD-based and chemical exfoliation based methods for synthesizing high quality graphene films. Specifically, in the CVD based procedure for growing graphene on copper, the effect of surface pretreatment of copper was investigated and the quality of graphene grown using two different pretreatment procedures was compared and analyzed. In particular, graphene grown on electropolished copper (EP-Cu) was analyzed with respect to its surface morphology, surface roughness and thickness, and compared with graphene grown on as cold-rolled acetic acid cleaned copper (AA-Cu). It was shown that electropolishing of the Cu substrates prior to graphene growth greatly enhanced the ability to obtain flat, uniform, predominantly single layer graphene surface coverage on copper. The reported surface roughness of the graphene on EP-Cu was found to be much lower than for previously reported systems, suggesting that the electropolishing procedure adopted in this work has great promise as a pretreatment step for Cu substrates used in CVD growth of graphene. Obtaining graphene from colloidal suspensions of graphitic systems was also examined. In this work, an acid (H₂SO₄ + HNO₃) treatment process for intercalating natural graphite flakes was examined and the ability to reversibly intercalate and deintercalate acid ions within graphitic galleries was investigated. More importantly, a rapid-thermal expansion (RTP) processing was developed to thermally expand the acid-treated graphite, followed by exfoliation of predominantly bilayer graphene as well as few layer graphene flakes in an organic solvent (N, N-Dimethylformamide - DMF). The developed method was shown to provide bilayer and few layer graphene flakes in a reliable fashion. Fullerene is another carbon nanostructure that has garnered attention due to unique structure and chemical properties. Recently, there has been increased focus towards harnessing the properties of fullerenes by synthesizing fullerene self-assemblies in the form of extended rods, tubes and more complex shapes. Current methods to synthesize these self-assemblies are either cumbersome, time consuming or expensive. In this context, an alternate, straightforward dip-coating procedure technique to self-assemble equal-sized, faceted, polymerized fullerene nanorods on graphene-based substrates in a rapid fashion was developed. By suitably modifying the kinetics of self-assembly, the ability to reliably control the spatial distribution, size, shape, morphology and chemistry of fullerene nanorods was achieved.
    Thumbnail

    CONTROL OF CAVITATION USING DISSOLVED CARBON DIOXIDE FOR DAMAGE-FREE MEGASONIC CLEANING OF WAFERS

    Kumari, Sangita (The University of Arizona., 2011)
    This dissertation describes the finding that dissolved carbon dioxide is a potent inhibitor of sonoluminescence and describes the implications of the finding in the development of improved megasonic cleaning formulations. Megasonic cleaning, or the removal of contaminants particles from wafer surfaces using sound-irradiated cleaning fluids, has been traditionally used in the semiconductor industry for cleaning of wafers. Recently however, advancing technology and miniaturization has made wafer features increasingly susceptible to damage by megasonic energy. International Technology Roadmap for Semiconductors (ITRS) 2011 predicts the critical particle diameter, critical particle count and killer defect numbers to be 22 nm, 113 #/wafer and 4.3 #/mm², respectively, on a 300 mm wafer for 45 nm technology node. A critical challenge in the field, therefore, is to achieve removal of small particles (22 nm to 200 nm) without causing damage to fine wafer features. The work described here addresses this challenge by identifying sonoluminescence and solution pH as two key factors affecting damage and cleaning efficiency, respectively and establishing novel means to control them using CO₂(aq) release compounds in the presence of acids and bases. Sonoluminescence (SL) behavior of the major dissolved gases such as Ar, Air, N₂, O₂ and CO₂ was determined using a newly designed Cavitation Threshold Cell (CT Cell). SL, which is the phenomenon of release of light in sound-irradiated liquids, is a sensitive indicator of cavitation, primarily transient cavitation. It was found that all the tested dissolved gases such as Ar, Air, N₂ and O₂, generated SL signal efficiently. However, dissolved CO₂ was found to be completely incapable of generating SL signal. Based on this interesting result, gradual suppression of SL signal was demonstrated using CO₂(aq). It was further demonstrated that CO₂(aq) is not only incapable but is also a potent inhibitor of SL. The inhibitory role of CO₂(aq) was established using a novel method of controlled in-situ release of CO₂ from NH₄HCO₃. ~130 ppm CO₂(aq) was shown to be necessary and sufficient for complete suppression of SL generation in air saturated DI water. The method however required acidification of solution for significant release of CO₂, making it unsuitable for the design of cleaning solutions at high pH. Analysis of the underlying ionic equilibria revealed that the loss of released CO₂(aq) upon increase in pH can be compensated by moderate increase in added NH₄HCO₃. Using this method, simultaneous control of SL and solution pH was demonstrated in two systems, NH₄HCO₃/HCl and NH₄OH/CO₂, at two nominal pH values; 5.7 and 7.0. Damage studies were performed on wafer samples with line/space patterns donated by IMEC and FSI International bearing Si/metal/a-Si gate stacks of thickness ~36 nm and Si/Poly-Si gate stacks of thickness ~67 nm, respectively. A single wafer spin cleaning tool MegPie® was used for the generation of megasonic energy for inducing damage to the structures. It was demonstrated that CO₂ dissolution in DI water suppresses damage to the gate stacks in a dose-dependent manner. Together, these studies establish a systematic and strong correlation between CO₂(aq) concentration, SL suppression and damage suppression. Significant damage reduction (~50 % to ~90 %) was observed at [CO₂(aq)] > ~300 ppm. It was also demonstrated that CO₂(aq) suppresses damage under alkaline pH condition too. This demonstration was made possible by the successful design of two new cleaning systems NH₄HCO₃/NH₄OH and CO₂/NH4OH that could generate CO₂(aq) under alkaline conditions. Damage suppressing ability of the newly designed cleaning systems were compared to the standard cleaning system NH₄OH at pH 8.2 and it was found that NH₄HCO₃/NH₄OH and CO₂/NH₄OH systems were 80 % more efficient in suppressing damage compared to the standard NH₄OH cleaning system. Finally, megasonic cleaning studies were conducted in the same single wafer spin cleaning tool MegPie®, using SiO₂ particles (size 185 nm) deposited on 200 mm oxide Si wafers, as the contaminant. It was found that the standard cleaning chemical, NH₄OH, pH 8.2, was effective in achieving > 95 % particle removal for 2 min irradiation of megasonic energy at power densities > 0.7 W/cm². Based on these results, a new system, NH₄HCO₃/NH₄OH, was designed with an aim to release ~300 ppm CO₂ at pH 8.2. It was demonstrated that newly designed system NH₄HCO₃/NH₄OH, allowed significant suppression of damage in comparison to NH₄OH while maintaining > 90 % cleaning efficiency that was comparable to NH₄OH solution, at the same acoustic power densities. Taken together, these studies establish a potent and flexible means for the inhibition of SL generation over a wide pH range and acoustic power densities and demonstrate its use in suppression of wafer damage without compromising megasonic cleaning efficiency.
    The University of Arizona Libraries | 1510 E. University Blvd. | Tucson, AZ 85721-0055
    Tel 520-621-6442 | repository@u.library.arizona.edu
    DSpace software copyright © 2002-2017  DuraSpace
    Quick Guide | Contact Us | Send Feedback
    Open Repository is a service operated by 
    Atmire NV
     

    Export search results

    The export option will allow you to export the current search results of the entered query to a file. Different formats are available for download. To export the items, click on the button corresponding with the preferred download format.

    By default, clicking on the export buttons will result in a download of the allowed maximum amount of items.

    To select a subset of the search results, click "Selective Export" button and make a selection of the items you want to export. The amount of items that can be exported at once is similarly restricted as the full export.

    After making a selection, click one of the export format buttons. The amount of items that will be exported is indicated in the bubble next to export format.